Ask Your Question
1

The terminal in vscode is indicating that system verilog is necessary for $dumpfile and $dumpvars to function properly, as they are currently not working.

asked 2023-07-19 05:43:13 +0000

woof gravatar image

edit retag flag offensive close merge delete

1 Answer

Sort by ยป oldest newest most voted
1

answered 2023-07-19 06:13:02 +0000

scrum gravatar image

This means that you need to install a SystemVerilog simulator or compiler, such as ModelSim or Verilator, in order to use these features in your code. Once you have installed a suitable tool, you should be able to generate a VCD file using $dumpfile and $dumpvars. Be sure to verify that your simulator or compiler supports these commands, and consult its documentation for guidance on using them correctly.

edit flag offensive delete link more

Your Answer

Please start posting anonymously - your entry will be published after you log in or create a new account. This space is reserved only for answers. If you would like to engage in a discussion, please instead post a comment under the question or an answer that you would like to discuss

Add Answer


Question Tools

Stats

Asked: 2023-07-19 05:43:13 +0000

Seen: 14 times

Last updated: Jul 19 '23