Ask Your Question

Revision history [back]

click to hide/show revision 1
initial version

The terminal in vscode is indicating that system verilog is necessary for $dumpfile and $dumpvars to function properly, as they are currently not working.