Ask Your Question

Revision history [back]

click to hide/show revision 1
initial version

How can the error of having dynamic types used in a non-procedural context be addressed in SystemVerilog?